Resource Utilization for Video PHY Controller v2.2

Vivado Design Suite Release 2024.1

Interpreting the results

This page contains resource utilization data for several configurations of this IP core. The data is separated into a table per device family. In each table, each row describes a test case. The columns are divided into test parameters and results. The test parameters include the part information and the core-specific configuration parameters. Any configuration parameters that are not listed have their default values; any parameters with a blank value are disabled or set automatically by the IP core. Consult the product guide for this IP core for a list of GUI parameter and user parameter mappings.

Data is provided for the following device families:

Artix-7

Part Information Configuration Parameters Resource Utilization
Device Package Speed Grade Configuration Name
DRPCLK_FREQ
Transceiver
CHANNEL_SITE
Tx_GT_Line_Rate
Tx_Max_GT_Line_Rate
Tx_GT_Ref_Clock_Freq
Rx_GT_Line_Rate
Rx_Max_GT_Line_Rate
Rx_GT_Ref_Clock_Freq
C_Tx_No_Of_Channels
C_Tx_Protocol
C_Rx_No_Of_Channels
C_Rx_Protocol
C_TX_REFCLK_SEL
C_RX_REFCLK_SEL
C_NIDRU_REFCLK_SEL
C_TX_PLL_SELECTION
C_RX_PLL_SELECTION
Adv_Clk_Mode
C_NIDRU
Tx_Buffer_Bypass
C_INPUT_PIXELS_PER_CLOCK
C_VIPER_REG
Fixed clocks (MHz) LUTs FFs DSPs 36k BRAMs 18k BRAMs Speedfile Status
xc7a200t ffg1156 3 char_a7_test_hdmi_phy_gtpe2_t1 148.5 GTPE2 2.97 2.97 297.000 2.97 2.97 297.000 3 HDMI 3 HDMI 1 0 6 5 4 false true true 4 true DUT/inst/RXPLL_LCLK_BUF_INST/O=125 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtpe2_i/RXOUTCLK=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtpe2_i/RXOUTCLKFABRIC=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtpe2_i/TXOUTCLK=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtpe2_i/TXOUTCLKFABRIC=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt1_my_ip_gtwrapper_i/gtpe2_i/RXOUTCLK=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt1_my_ip_gtwrapper_i/gtpe2_i/RXOUTCLKFABRIC=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt1_my_ip_gtwrapper_i/gtpe2_i/TXOUTCLK=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt1_my_ip_gtwrapper_i/gtpe2_i/TXOUTCLKFABRIC=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt2_my_ip_gtwrapper_i/gtpe2_i/RXOUTCLK=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt2_my_ip_gtwrapper_i/gtpe2_i/RXOUTCLKFABRIC=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt2_my_ip_gtwrapper_i/gtpe2_i/TXOUTCLK=297 DUT/inst/gt_wrapper_inst/U0/my_ip_gtwrapper_i/gt2_my_ip_gtwrapper_i/gtpe2_i/TXOUTCLKFABRIC=297 gteastrefclk0_in=125 mgtrefclk0_pad_p_in=297 mgtrefclk1_pad_p_in=297 rx_tmds_clk=297 rx_video_clk=149 tx_tmds_clk=297 tx_video_clk=149 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=149 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=149 6696 8210 3 0 0 PRODUCTION 1.23 2018-06-13

Kintex UltraScale

Part Information Configuration Parameters Resource Utilization
Device Package Speed Grade Configuration Name
DRPCLK_FREQ
Transceiver
CHANNEL_SITE
Tx_GT_Line_Rate
Tx_Max_GT_Line_Rate
Tx_GT_Ref_Clock_Freq
Rx_GT_Line_Rate
Rx_Max_GT_Line_Rate
Rx_GT_Ref_Clock_Freq
C_Tx_No_Of_Channels
C_Tx_Protocol
C_Rx_No_Of_Channels
C_Rx_Protocol
C_TX_REFCLK_SEL
C_RX_REFCLK_SEL
C_NIDRU_REFCLK_SEL
C_TX_PLL_SELECTION
C_RX_PLL_SELECTION
Adv_Clk_Mode
C_NIDRU
Tx_Buffer_Bypass
C_INPUT_PIXELS_PER_CLOCK
C_VIPER_REG
Fixed clocks (MHz) LUTs FFs DSPs 36k BRAMs 18k BRAMs Speedfile Status
xcku095 ffvb2104 2 char_ku_test_hdmi_phy_gthe3_t1 100.0 GTHE3 X0Y0 5.94 5.94 297 5.94 5.94 297 3 HDMI 3 HDMI 1 0 6 0 false false true 4 true drpclk=100 mgtrefclk0_pad_p_in=297 mgtrefclk1_pad_p_in=297 rx_tmds_clk=297 rx_video_clk=149 tx_tmds_clk=297 tx_video_clk=149 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=149 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=149 1476 3884 0 0 0 PRODUCTION 1.26 12-04-2018
xcku095 ffvb2104 2 phy_gthe3_sp2_ch4_test 40.0 X0Y16 1.62 1.62 1.62 1.62 4 DP 4 DP 1 0 0 0 false false false drpclk=40 mgtrefclk0_pad_p_in=162 mgtrefclk1_pad_p_in=162 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=135 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=135 970 4058 0 0 0 PRODUCTION 1.26 12-04-2018

Virtex-7

Part Information Configuration Parameters Resource Utilization
Device Package Speed Grade Configuration Name
DRPCLK_FREQ
Transceiver
CHANNEL_SITE
Tx_GT_Line_Rate
Tx_Max_GT_Line_Rate
Tx_GT_Ref_Clock_Freq
Rx_GT_Line_Rate
Rx_Max_GT_Line_Rate
Rx_GT_Ref_Clock_Freq
C_Tx_No_Of_Channels
C_Tx_Protocol
C_Rx_No_Of_Channels
C_Rx_Protocol
C_TX_REFCLK_SEL
C_RX_REFCLK_SEL
C_NIDRU_REFCLK_SEL
C_TX_PLL_SELECTION
C_RX_PLL_SELECTION
Adv_Clk_Mode
C_NIDRU
Tx_Buffer_Bypass
C_INPUT_PIXELS_PER_CLOCK
C_VIPER_REG
Fixed clocks (MHz) LUTs FFs DSPs 36k BRAMs 18k BRAMs Speedfile Status
xc7vx485t ffg1930 3 char_k7_test_hdmi_phy_gtxe2_t1 100.0 GTXE2 5.94 5.94 148.500 5.94 5.94 148.500 3 HDMI 3 HDMI 1 0 2 3 0 false true true 4 true DUT/inst/XCVR_CH0_INST/gtxe2_i/RXOUTCLK DUT/inst/XCVR_CH1_INST/gtxe2_i/RXOUTCLK DUT/inst/XCVR_CH2_INST/gtxe2_i/RXOUTCLK=149 DUT/inst/XCVR_CH0_INST/gtxe2_i/TXOUTCLK DUT/inst/XCVR_CH1_INST/gtxe2_i/TXOUTCLK DUT/inst/XCVR_CH2_INST/gtxe2_i/TXOUTCLK=149 gtnorthrefclk0_in=125 mgtrefclk0_pad_p_in=297 mgtrefclk1_pad_p_in=297 rx_tmds_clk=297 rx_video_clk=149 tx_tmds_clk=297 tx_video_clk=149 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=149 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=149 4435 5786 2 0 0 PRODUCTION 1.12 2014-09-11
xc7vx485t ffg1930 3 phy_k7_sp1_ch4_gtx_test 40.0 X0Y0 1.62 1.62 162.000 1.62 1.62 162.000 1 DP 1 DP 1 0 0 3 0 false false false DUT/inst/gt_wrapper_inst/inst/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtxe2_i/RXOUTCLK=40 DUT/inst/gt_wrapper_inst/inst/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtxe2_i/RXOUTCLKFABRIC=162 DUT/inst/gt_wrapper_inst/inst/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtxe2_i/TXOUTCLK=40 DUT/inst/gt_wrapper_inst/inst/my_ip_gtwrapper_i/gt0_my_ip_gtwrapper_i/gtxe2_i/TXOUTCLKFABRIC=162 mgtrefclk0_pad_p_in=100 mgtrefclk1_pad_p_in=100 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=135 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=135 931 2038 0 0 0 PRODUCTION 1.12 2014-09-11

Virtex UltraScale+

Part Information Configuration Parameters Resource Utilization
Device Package Speed Grade Configuration Name
DRPCLK_FREQ
Transceiver
CHANNEL_SITE
Tx_GT_Line_Rate
Tx_Max_GT_Line_Rate
Tx_GT_Ref_Clock_Freq
Rx_GT_Line_Rate
Rx_Max_GT_Line_Rate
Rx_GT_Ref_Clock_Freq
C_Tx_No_Of_Channels
C_Tx_Protocol
C_Rx_No_Of_Channels
C_Rx_Protocol
C_TX_REFCLK_SEL
C_RX_REFCLK_SEL
C_NIDRU_REFCLK_SEL
C_TX_PLL_SELECTION
C_RX_PLL_SELECTION
Adv_Clk_Mode
C_NIDRU
Tx_Buffer_Bypass
C_INPUT_PIXELS_PER_CLOCK
C_VIPER_REG
Fixed clocks (MHz) LUTs FFs DSPs 36k BRAMs 18k BRAMs Speedfile Status
xcvu19p fsva3824 2 char_vuplus_test_hdmi_phy_gtye4_t1 100.0 GTYE4 X0Y4 5.94 5.94 297 5.94 5.94 297 3 HDMI 3 HDMI 1 0 2 6 0 false true true 4 true DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=149 drpclk=100 gtnorthrefclk0_in=156 gtnorthrefclk0_odiv2_in=156 mgtrefclk0_pad_p_in=297 mgtrefclk1_pad_p_in=297 rx_tmds_clk=297 rx_video_clk=149 tx_tmds_clk=297 tx_video_clk=149 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=149 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=149 7381 9498 3 0 0 PRODUCTION 1.31 12-02-2020
xcvu19p fsva3824 2 phy_gtye4_sp2_ch4_test 40.0 X0Y8 1.62 1.62 1.62 1.62 4 DP 4 DP 1 0 0 0 false false false DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[3].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gtye4_top.my_ip_gtwrapper_gtwizard_gtye4_inst/gen_gtwizard_gtye4.gen_cpll_cal_gtye4.gen_cpll_cal_inst[3].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gtye4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 drpclk=40 mgtrefclk0_pad_p_in=162 mgtrefclk1_pad_p_in=162 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=135 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=135 2651 7227 0 0 0 PRODUCTION 1.31 12-02-2020

Zynq UltraScale+

Part Information Configuration Parameters Resource Utilization
Device Package Speed Grade Configuration Name
DRPCLK_FREQ
Transceiver
CHANNEL_SITE
Tx_GT_Line_Rate
Tx_Max_GT_Line_Rate
Tx_GT_Ref_Clock_Freq
Rx_GT_Line_Rate
Rx_Max_GT_Line_Rate
Rx_GT_Ref_Clock_Freq
C_Tx_No_Of_Channels
C_Tx_Protocol
C_Rx_No_Of_Channels
C_Rx_Protocol
C_TX_REFCLK_SEL
C_RX_REFCLK_SEL
C_NIDRU_REFCLK_SEL
C_TX_PLL_SELECTION
C_RX_PLL_SELECTION
Adv_Clk_Mode
C_NIDRU
Tx_Buffer_Bypass
C_INPUT_PIXELS_PER_CLOCK
C_VIPER_REG
Fixed clocks (MHz) LUTs FFs DSPs 36k BRAMs 18k BRAMs Speedfile Status
xczu17eg ffve1924 3 char_zynqplus_test_hdmi_phy_gthe4_t1 100.0 GTHE4 X0Y4 5.94 5.94 297 5.94 5.94 297 3 HDMI 3 HDMI 1 0 2 6 0 false true true 4 true DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=149 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=149 drpclk=100 gtnorthrefclk0_in=156 gtnorthrefclk0_odiv2_in=156 mgtrefclk0_pad_p_in=297 mgtrefclk1_pad_p_in=297 rx_tmds_clk=297 rx_video_clk=149 tx_tmds_clk=297 tx_video_clk=149 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=149 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=149 7376 9498 3 0 0 PRODUCTION 1.30 05-15-2022
xczu17eg ffve1924 3 phy_gthe4_sp2_ch4_test 40.0 X0Y8 1.62 1.62 1.62 1.62 4 DP 4 DP 1 0 0 0 false false false DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[0].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[1].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[2].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[3].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_rx_i/gen_cal_rx_en.bufg_gt_rxoutclkmon_inst/O=41 DUT/inst/gt_wrapper_inst/inst/gen_gtwizard_gthe4_top.my_ip_gtwrapper_gtwizard_gthe4_inst/gen_gtwizard_gthe4.gen_cpll_cal_gthe4.gen_cpll_cal_inst[3].gen_inst_cpll_cal.gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_inst/gtwizard_ultrascale_v1_7_18_gthe4_cpll_cal_tx_i/bufg_gt_txoutclkmon_inst/O=41 drpclk=40 mgtrefclk0_pad_p_in=162 mgtrefclk1_pad_p_in=162 vid_phy_axi4lite_aclk=100 vid_phy_rx_axi4s_aclk=135 vid_phy_sb_aclk=100 vid_phy_tx_axi4s_aclk=135 2640 7227 0 0 0 PRODUCTION 1.30 05-15-2022

COPYRIGHT

Copyright 2024 Xilinx, Inc. Xilinx, the Xilinx logo, Alveo, Artix, ISE, Kintex, Spartan, Versal, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. Arm is a registered trademark of Arm Limited in the EU and other countries. All other trademarks are the property of their respective owners.

LEGAL INFORMATION: PLEASE READ

The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by applicable law: (1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications. You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent. Certain products are subject to the terms and conditions of Xilinx's limited warranty, please refer to Xilinx's Terms of Sale which can be viewed at https://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx. Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx's Terms of Sale which can be viewed at https://www.xilinx.com/legal.htm#tos.